Part Number Hot Search : 
FM101 2SD14 F1405S ISL6208A P6N90 SR860 LT691 SP488ACT
Product Description
Full Text Search
 

To Download OP162DRMZ-REEL Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  15 mhz rail-to-rail operational amplifiers op162/op262/op462 rev. f in fo rmation furn ished by an alog d e v i c e s is believed to be accurate and reliable. how e ver, n o resp on sibili ty is assume d b y a n alog de vices fo r its use, nor for an y i n fri n geme nt s of p a t e nt s or ot h e r ri ght s o f th ird parties th at may result fro m its use . s p ecificatio n s subj ec t to ch an g e witho u t n o tice. no licen s e is g r an te d by implicati o n or ot herwi s e u n der a n y p a t e nt or p a t e nt ri ghts of analog de v i ces. trademarks an d registered tra d ema r ks are the prop erty o f their respective ow ners. one technolog y way, p.o . box 9106, norwood, ma 02062-9106, u.s.a. t e l: 781. 329. 4 700 www.analog.com fax: 781. 326. 87 03 ? 2005 analog de vices, i n c. al l r i ght s r e ser v ed . features wide bandwi dt h: 15 mhz low offset voltage: 325 v ma x low noise: 9.5 nv/ hz @ 1 k hz single-supply operation: 2.7 v to 12 v rail-to-rail out p ut swing low tcv os : 1 v /c typ high slew rate: 13 v/s no phase inver sion unity-gain st a b le applic ati o ns portable instrumentation sampling adc amplifier wireless lans direct access arrangement office automat i on general description the o p 162 (sing l e), o p 262 (d u a l), a nd o p 462 (q uad) ra il -t o- ra i l 15 mh z am plif iers fe a t ur e t h e ext r a s p e e d ne w des i g n s r e q u ir e , wi t h t h e b e n e f i ts o f p r e c isio n a nd lo w p o w e r o p era t ion. w i t h t h eir in cr edib l y lo w o f fs et v o l t a g e o f 45 v (typ ic al) a nd l o w n o ise , t h ey a r e pe rf ectl y s u i t ed f o r p r eci s i o n f i l t e r a p p l ica - tio n s an d in s t r u m e n t a t io n. th e lo w s u p p l y c u r r en t o f 500 a (typ ical) is cr i t ical f o r p o r t a b le o r den s e l y p a c k ed desig n s. i n ad di tio n , t h e ra i l -t o-ra il o u t p u t swin g p r o v id es gr ea t e r d y n a mic r a n g e a nd co n t rol t h a n st anda rd v i de o am plif ie rs. th e s e p r o d uc ts o p era t e f r o m si n g le s u p p l i es as lo w as 2.7 v t o d u al s u p p lies o f 6 v . th e fast s e t t l i n g t i m e s and wide ou t p u t s w i n g s r e c o mme nd t h e m for b u f f ers t o s a m p l i ng a / d con ver ters. the o u t p u t dr i v e o f 30 ma (sin k an d s o ur c e ) is n e e d e d fo r m a n y au d i o a n d d i s p l a y ap p l i c at i o n s ; m o r e o u t p u t c u r r e n t c a n b e su p p lie d fo r limi t e d d u ra t i o n s. t h e opx62 fami ly is sp e c if ie d o v er t h e ext e n d e d i n d u s t r i al t e m p era t ur e ra n g e (C40c t o +125c). th e sin g le o p 162 a m p l if iers a r e a v a i l a b l e in 8-lead so i c , mso p , a nd tsso p p a c k a g es. th e d u al o p 262 a m p l if iers a r e a v a i la b l e in 8-le ad so i c and tsso p p a ckag es. the q u ad o p 462 a m p l if iers a r e a v a i la b l e in 14-lead , na r r o w -bo d y so i c a nd t ssop p a cka g es. pin c o nfig uratio ns null 1 ?in a 2 +in a 3 v? 4 null 8 v+ 7 out a 6 nc 5 nc = no connect op162 top view (not to scale) 00288-001 f i gure 1. 8-l e ad n a rro w - b o dy soic (s suffix) top view (not to scale) 1 2 3 4 op162 ? in a +in a v? null 8 7 6 5 v+ out a nc null nc = no connect 00288-002 f i g u re 2. 8-l e ad t s sop (ru suf f i x ) 8-l e ad m s op (rm suffix) out a 1 ?in a 2 +in a 3 v? 4 v+ 8 out b 7 ?in b 6 +in b 5 op262 top view (not to scale) 00288- 003 f i gure 3. 8-l e ad n a rro w - b o dy soic (s suffix) top view (not to scale) 1 2 3 4 op262 ?in a +in a v? out a 8 7 6 5 out b ? in b +in b v+ 00288-004 f i g u re 4. 8-l e ad t s sop (ru suf f i x ) out a 1 ?in a 2 +in a 3 v+ 4 out d 14 ?in d 13 +in d 12 v? 11 +in b 5 +in c 10 ?in b 6 ?in c 9 out b 7 out c 8 op462 top view (not to scale) 00288- 005 f i g u re 5. 14-l e ad n a r r o w - b ody soic ( s suf f i x ) 1 2 3 4 5 6 7 op462 ?in a +in a v+ o ut b ?in b +in b out a 14 13 12 11 10 9 8 ?in d +in d v? out c ?in c +in c out d top view (not to scale) 00288- 006 f i g u re 6. 14-l e ad t ssop (ru suf f i x )
op162/op262/op462 rev. f | page 2 of 20 table of contents specifications ...........................................................................................3 absolute maximum ratings .................................................................6 esd caution .................................................................................. 6 typical performance characteristics ..................................................7 applications ...........................................................................................12 functional description .............................................................. 12 offset adjustment ...................................................................... 12 rail-to-rail output .................................................................... 12 output short-circuit protection .............................................. 12 input overvoltage protection ................................................... 13 output phase reversal ............................................................... 13 power dissipation ....................................................................... 13 unused amplifiers ..................................................................... 14 power-on settling time ............................................................ 14 capacitive load drive ............................................................... 14 total harmonic distortion and crosstalk .............................. 15 pcb layout considerations ...................................................... 15 application circuits ............................................................................ 16 single-supply stereo headphone driver ................................. 16 instrumentation amplifier ........................................................ 16 direct access arrangement ...................................................... 17 spice macro-model .................................................................... 18 outline dimensions ............................................................................ 19 ordering guide .......................................................................... 20 revision history 1/05rev. e to rev. f changes to absolute maximum ratings table 4 and table 5 .... 6 change to figure 36 ....................................................................... 13 changes to ordering guide .......................................................... 20 12/04rev. d to rev. e updated format..................................................................universal changes to general description .................................................... 1 changes to specifications ................................................................ 3 changes to package type................................................................. 6 change to figure 16 ......................................................................... 8 change to figure 22 ......................................................................... 9 change to figure 36 ....................................................................... 13 change to figure 37 ....................................................................... 14 changes to ordering guide .......................................................... 20 10/02rev. c to rev. d deleted 8-lead plastic dip (n-8) ....................................universal deleted 14-lead plastic dip (n-14) ................................universal edits to ordering guide........................................................ 19 edits to figure 30............................................................................ 19 edits to figure 31............................................................................ 19 updated outline dimensions ....................................................... 19
op162/op262/op462 rev. f | page 3 of 20 specifications @ v s = 5.0 v, v cm = 0 v, t a = 25c, unless otherwise noted. table 1. electrical characteristics parameter symbol conditions min typ max unit input characteristics offset voltage v os op162g, op262g, op462g 45 325 v C40c t a +125c 800 v h grade, C40c t a +125c 1 mv d grade 0.8 3 mv C40c t a +125c 5 mv input bias current i b 360 600 na C40c t a +125c 650 na input offset current i os 2.5 25 na C40c t a +125c 40 na input voltage range v cm 0 4 v common-mode rejection cmrr 0 v v cm 4.0 v, C40c t a +125c 70 110 db large signal voltage gain a vo r l = 2 k?, 0.5 v out 4.5 v 30 v/mv r l = 10 k?, 0.5 v out 4.5 v 65 88 v/mv r l = 10 k?, C40c t a +125c 40 v/mv long-term offset voltage 1 v os g grade 600 v offset voltage drift 2 ? v os / ? t 1 v/c bias current drift ? i b / ? t 250 pa/c output characteristics output voltage swing high v oh i l = 250 a, C40c t a +125c 4.95 4.99 v i l = 5 ma 4.85 4.94 v output voltage swing low v ol i l = 250 a, C40c t a +125c 14 50 mv i l = 5 ma 65 150 mv short-circuit current i sc short to ground 80 ma maximum output current i out 30 ma power supply power supply rejection ratio psrr v s = 2.7 v to 7 v 120 db C40c t a +125c 90 db supply current/amplifier i sy op162, v out = 2.5 v 600 750 a C40c t a +125c 1 ma op262, op462, v out = 2.5 v 500 700 a C40c t a +125c 850 a dynamic performance slew rate sr 1 v < v out < 4 v, r l = 10 k? 10 v/s settling time t s to 0.1%, a v = C1, v o = 2 v step 540 ns gain bandwidth product gbp 15 mhz phase margin m 61 degrees noise performance voltage noise e n p-p 0.1 hz to 10 hz 0.5 v p-p voltage noise density e n f = 1 khz 9.5 nv/ hz current noise density i n f = 1 khz 0.4 pa/ hz 1 long-term offset voltage is guaranteed by a 1000 hour life test performed on three inde pendent lots at 125c, with an ltpd of 1.3. 2 offset voltage drift is the av erage of the ?40c to +25c delta and the + 25c to +125c delta.
op162/op262/op462 rev. f | page 4 of 20 @ v s = 3.0 v, v cm = 0 v, t a = 25c, unless otherwise noted. table 2. electrical characteristics parameter symbol conditions min typ max unit input characteristics offset voltage v os op162g, op262g, op462g 50 325 v g, h grades, C40c t a +125c 1 mv d grade 0.8 3 mv C40c t a +125c 5 mv input bias current i b 360 600 na input offset current i os 2.5 25 na input voltage range v cm 0 2 v common-mode rejection cmrr 0 v v cm 2.0 v, C40c t a +125c 70 110 db large signal voltage gain a vo r l = 2 k?, 0.5 v v out 2.5 v 20 v/mv r l = 10 k?, 0.5 v v out 2.5 v 20 30 v/mv long-term offset voltage 1 v os g grade 600 v output characteristics output voltage swing high v oh i l = 250 a 2.95 2.99 v i l = 5 ma 2.85 2.93 v output voltage swing low v ol i l = 250 a 14 50 mv i l = 5 ma 66 150 mv power supply power supply rejection ratio psrr v s = 2.7 v to 7 v, C40c t a +125c 60 110 db supply current/amplifier i sy op162, v out = 1.5 v 600 700 a C40c t a +125c 1 ma op262, op462, v out = 1.5 v 500 650 a C40c t a +125c 850 a dynamic performance slew rate sr r l = 10 k? 10 v/s settling time t s to 0.1%, a v = C1, v o = 2 v step 575 ns gain bandwidth product gbp 15 mhz phase margin m 59 degrees noise performance voltage noise e n p-p 0.1 hz to 10 hz 0.5 v p-p voltage noise density e n f = 1 khz 9.5 nv/ hz current noise density i n f = 1 khz 0.4 pa/ hz 1 long-term offset voltage is guaranteed by a 1000 hour life test performed on three inde pendent lots at 125c, with an ltpd of 1.3.
op162/op262/op462 rev. f | page 5 of 20 @ v s = 5.0 v, v cm = 0 v, t a = 25c, unless otherwise noted. table 3. electrical characteristics parameter symbol conditions min typ max unit input characteristics offset voltage v os op162g, op262g, op462g 25 325 v ?40c t a +125c 800 v h grade, C40c t a +125c 1 mv d grade 0.8 3 mv ?40c t a +125c 5 mv input bias current i b 260 500 na ?40c t a +125c 650 na input offset current i os 2.5 25 na ?40c t a +125c 40 na input voltage range v cm C5 +4 v common-mode rejection cmrr ?4.9 v v cm +4.0 v, C40c t a +125c 70 110 db large signal voltage gain a vo r l = 2 k?, C4.5 v v out +4.5 v 35 v/mv r l = 10 k?, C4.5 v v out +4.5 v 75 120 v/mv ?40c t a +125c 25 v/mv long-term offset voltage 1 v os g grade 600 v offset voltage drift 2 ? v os / ? t 1 v/c bias current drift ? i b / ? t 250 pa/c output characteristics output voltage swing high v oh i l = 250 a, C40c t a +125c 4.95 4.99 v i l = 5 ma 4.85 4.94 v output voltage swing low v ol i l = 250 a, C40c t a +125c C4.99 C4.95 v i l = 5 ma C4.94 C4.85 v short-circuit current i sc short to ground 80 ma maximum output current i out 30 ma power supply power supply rejection ratio psrr v s = 1.35 v to 6 v, ?40c t a +125c 60 110 db supply current/amplifier i sy op162, v out = 0 v 650 800 a ?40c t a +125c 1.15 ma op262, op462, v out = 0 v 550 775 a ?40c t a +125c 1 ma supply voltage range v s 3.0 (1.5) 12 (6) v dynamic performance slew rate sr ?4 v < v out < 4 v, r l = 10 k? 13 v/s settling time t s to 0.1%, a v = C1, v o = 2 v step 475 ns gain bandwidth product gbp 15 mhz phase margin m 64 degrees noise performance voltage noise e n p-p 0.1 hz to 10 hz 0.5 v p-p voltage noise density e n f = 1 khz 9.5 nv/ hz current noise density i n f = 1 khz 0.4 pa/ hz 1 long-term offset voltage is guaranteed by a 1000 hour life test performed on three in dependent lots at +125c, with an ltpd of 1.3. 2 offset voltage drift is the av erage of the ?40c to +25c delta and the + 25c to +125c delta.
op162/op262/op462 r e v. f | pa g e 6 of 20 absolute maximum ra tings table 4. p a r a m e t e r m i n supply voltage 6 v input voltage 1 6 v differential input voltage 2 0.6 v internal power dissip a tion soic (s) observ e deratin g curves msop (r m) obs erve derating curves tssop (ru ) observ e deratin g curves output short-ci rcuit duration observ e deratin g curves storage temperature range C65c to +150c operating tem p erature range C40c to +125c junction tempe r ature range C65c to +150c lead temperature range (soldering, 10 sec) 300c 1 for s u pp l y vol t ages greater than 6 v, t h e input vol t age is limited to less than or eq ua l t o t h e sup p ly vo lt a g e. 2 for differ ential input voltages gr eate r than 0.6 v, the input current shou ld be l imited to l e s s than 5 ma to prevent d e grad a tion or d e s t ruction of the input devices. s t r e s s es a b o v e t h os e list e d u nde r a b s o l u te m a xim u m r a t i n g s ma y ca us e p e r m a n e n t dama ge to t h e de vi ce. t h is is a st r e ss r a t i ng on ly ; f u n c t i on a l op e r at i o n of t h e d e v i c e a t t h e s e or an y o t h e r con d i t ions a b o v e t h o s e i ndic a te d i n t h e op er a t ion s e c t ion o f t h is sp e c if ic a t io n is n o t i m pli e d . e x p o sur e t o a b s o l u t e max i m u m r a t i ng co ndi t i on s fo r ex tende d p e r i o d s ma y a f fe c t de vice rel i a b i l i t y . table 5. package type ja 1 jc unit 8-lead soic (s) 157 56 c/w 8-lead tssop (ru) 208 c/w 8-lead msop (rm) 190 44 c/w 14-lead soic (s ) 105 c/w 14-lead tssop (ru) 148 c/w ___ __ __ __ __ __ ___ __ __ __ __ __ __ 1 ja is s p e c if i e d f o r the wo rs t - cas e co nd itio ns, tha t is, ja is s p ecif ied f o r a devi c e so lde r e d i n ci r c ui t boa r d f o r so ic, msop , a n d t s sop pa ck a g e s . esd c a ution esd (electrostatic discharge) sensitive device. ele c tros tatic charg e s as high as 4000 v readily accumulate on the human body and test eq uipment and can discharge wi thout detection. although this product features proprietary esd protection circu i try, permanent dama ge may occur on devices subjected to high energy electrostatic discharges. theref ore, prop er esd precautions a r e recommended to avoid perform a nce degradation or l o ss of functiona l ity.
op162/op262/op462 r e v. f | pa g e 7 of 20 typical perf orm ance cha r acte ristics v s = 5v t a = 25 c count = 720 op amps input offset voltage ( v) quantity (amplifie rs ) 250 200 150 50 100 0 ? 200 ? 140 ?80 ? 20 100 40 160 00288-007 f i gur e 7 . op 46 2 input o ffse t v o l t a g e di str i butio n v s = 5v t a = 25 c count = 360 op amps input offset drift, tcv os ( v, c) quantity ( a mplif iers) 100 80 60 20 40 0 0.2 0.3 0.5 0.7 0.9 1.3 1.1 1.5 00288-008 f i gur e 8 . op 46 2 input o ffse t v o l t a g e dr i f t ( t cv os ) common-mode voltage (v) inp u t curre nt (na) 420 340 260 180 100 0 0.5 1.0 1.5 2.0 3.0 2.5 3.5 4.0 00288-009 v s = 5v f i g u re 9. o p 4 6 2 in put bias cur r ent v s . co mm on-m ode v o lt ag e temperature ( c) input offset voltage ( v) 125 100 75 50 25 0 ? 7 5 ? 50 ?25 0 25 50 100 75 125 150 00288-010 v s = 5v f i g u re 10. op 46 2 i n put o f f s et v o lt ag e v s . t e mpe r at u r e temperature ( c) inp u t bias curre nt (na) 0 ? 100 ? 200 ? 300 ? 400 ? 500 ? 5 0 ? 25 0 2 5 5 0 100 75 125 150 00288? 011 v s = 5v f i g u re 11. op 46 2 i n put bias cur r ent v s . t e mpe r at u r e temperature ( c) inp u t offs e t curre nt (na) 15 10 5 0 ? 7 5 ? 50 ?25 0 25 50 100 75 125 150 00288? 012 v s = 5v f i g u re 12. op 46 2 i n put o f f s et curr e n t v s . t e mpe r at u r e
op162/op262/op462 r e v. f | pa g e 8 of 20 temperature ( c) output high voltage ( v ) 5.12 5.06 5.00 4.94 4.88 4.82 ? 7 5 ? 50 ?25 0 25 50 100 75 125 150 00288-013 v s = 5v i out = 250 a i out = 5ma f i g u re 13. op 46 2 o u t p ut hig h v o lt ag e v s . t e mper at ur e temperature ( c) output low voltage (mv) 0.100 0.080 0.060 0.040 0.020 0.000 ? 7 5 ? 50 ?25 0 25 50 100 75 125 150 00288-014 v s = 5v i out = 250 a i out = 5ma f i g u re 14. op 46 2 o u t p ut l o w v o lt ag e v s . t e mpe r at u r e temperature ( c) open- l oop gain ( v /mv) 100 80 60 40 20 0 ? 7 5 ? 50 ?25 0 25 50 100 75 125 150 00288-015 v s = 5v r l = 10k ? r l = 2k ? r l = 600k ? f i g u re 15. op 46 2 o p en-l oop g a in v s . t e m p er at ure load current (ma) output low voltage (mv) 100 80 60 40 20 0 01 2 3 4 5 6 7 00288-016 v s = 3v v s = 10v f i g u re 16. o u t p ut l o w v o lt ag e t o su p p ly ra il v s . l oad cu rr e n t temperature ( c) s u p p ly curre nt (ma) 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 ? 7 5 ? 50 ?25 0 25 100 75 125 150 00288-017 v s = 5v v s = 10v v s = 3v f i gure 17. sup p l y current/a mp lif ier v s . t e mpe r atu r e supply voltage (v) s u p p l y curre nt (ma) 0.7 0.6 0.5 0.4 02 4 6 8 1 0 1 00288-018 2 t a = 25 c f i g u re 18. op 46 2 s u p p ly cu rrent /a mp lif i e r v s . sup p l y v o lt ag e
op162/op262/op462 r e v. f | pa g e 9 of 20 frequency (hz) gain ( d b) 50 40 30 20 10 0 ?1 0 ?2 0 ?3 0 ph a se sh ift ( d b ) 45 90 135 180 225 270 100k 1m 10m 100m 00288-019 v s = 5v t a = 25 c gain phase f i g u re 19. o p en-l o o p g a in and phas e v s . f r equenc y ( n o l oad) frequency (hz) closed- l oop gain ( d b) 60 40 20 0 ?20 ?30 10k 100k 1m 10m 100m 00288-020 v s = 5v t a = 25 c r l = 830 ? c l = 5pf f i g u re 20. cl os ed-l oop g a in v s . f r equ e nc y frequency (hz) max i mum o u tp ut s w ing (v p-p) 5 4 3 2 1 0 10k 100k 1m 10m 00288-021 v s = 5v a vcl = 1 r l = 10k ? c l = 15pf t a = 25 c distortion<1% f i g u re 21. m a x i mu m o u t p ut swi n g v s . f r equenc y settling time (ns) step size ( v ) 3 1 ?1 ?3 2 4 0 ?2 ?4 0 200 400 600 800 1000 00288-022 0.01% 0.1% 0.01% 0.1% v s = 5v t a = 25 c f i gure 22. step siz e vs. s e ttling t i me capacitance (pf) over sh oot ( % ) 60 40 50 30 20 10 0 10 100 1000 00288-023 v s = 5v t a = 25 c t a = 50mv r l = 10k ? +os ?o s f i gure 23. sm a ll-s i gnal o v ersh oot v s . capa cita nc e frequency (hz) nois e de ns ity (nv / hz) 70 60 50 40 30 10 20 0 1 1 0 100 1k 00288-024 v s = 5v t a = 25 c f i gure 24. v o ltage nois e d e nsi t y v s . f r equenc y
op162/op262/op462 rev. f | pag e 10 of 2 0 frequency (hz) nois e de ns ity (pa/ hz) 7 6 5 4 3 1 2 0 1 1 0 100 1k 00288-025 v s = 5v t a = 25 c f i gure 25. cur r ent nois e d e nsi t y v s . f r equenc y frequency (hz) outp ut imp e dance ( ? ) 300 250 200 150 50 100 0 100k 1m 10m 00288-026 v s = 5v t a = 25 c a vcl = 10 a vcl = 1 f i gure 26. o u tput imped a nce v s . f r eq uenc y frequency (hz) cmrr (db) 90 80 70 60 50 30 40 20 1k 10k 100k 1m 10m 00288-027 v s = 5v t a = 25 c fi g u r e 2 7 . c m r r v s . fr e q u e n c y frequency (hz) p s rr (db) 90 80 70 60 50 30 40 20 1k 10k 100k 1m 10m 00288-028 v s = 5v t a = 25 c +psrr ?psrr fi g u r e 2 8 . p s r r v s . fr e q u e n c y 00288-029 100 90 10 0%  v s = 5v a v = 100k ? e n = 0.5 v p-p 2s 20mv f i g u re 29. 0. 1 h z t o 10 h z no is e 10 0% 100 90 00288-030 v in = 12v p-p v s = 5v a v = 1 2v 2v 20 s f i gure 30. no p h as e r e vers a l ( v in = 12 v p - p , v s = 5 v , a v = 1)
op162/op262/op462 rev. f | pag e 11 of 2 0 00288-031 10 0% 100 90 200ns 20mv v s = 5v a v = 1 t a = 25 c c l = 100pf f i g u re 31. sm a ll s i g n al t r ans i ent r e s p ons e 00288-032 10 0% 100 90 100 s 500mv v s = 5v a v = 1 t a = 25 c c l = 100pf f i g u re 32. lar g e s i g n al t r ans i ent r e s p ons e
op162/op262/op462 rev. f | pag e 12 of 2 0 appli c a t ions func ti on a l descripti o n the opx62 fa m i ly is fa b r ic a t e d usin g ana l og d e v i ces hig h s p e e d co m p le men t a r y b i p o la r p r o c es s, als o cal l e d xfc b . this p r o c es s t r en ch i s ola t es e a ch t r an sis t o r t o lo w e r p a rasi t i c ca p a c i - t a n c es fo r hig h s p e e d p e rf o r ma n c e. this hig h sp ee d p r ocess has b e en im plem en t e d wi t h o u t s a c r i f i c i n g t h e e x c e l l e nt t r a n s i s t o r m a tch i ng a n d ove r a l l d c p e r f or m a nc e ch ar a c te r i st i c of a n a l o g de vices com p lem e n t a r y b i p o la r p r o c es s. this mak e s t h e o p x 62 fa mil y a n exce l l e n t ch o i ce as a n extr em e l y fast and acc u ra t e lo w volt age op am p . fi g u re 3 3 sho w s a s i m p l i f i e d e q u i val e n t s c hema t i c f o r t h e o p 162. a pnp dif f er en t i al p a ir is us e d a t t h e i n p u t o f t h e de vic e . th e cr os s co n n ectin g o f th e em i t t e r s lo w e r s th e tra n sco n d u cta n ce o f t h e in p u t st a g e i m p r o v in g t h e s l e w ra t e o f t h e de v i ce . l o w e r i n g th e tra n sco n d u c t a n ce th r o ug h cr os s co n n ect i n g th e em i t t e r s h a s a n o t h e r a d van t age in t h a t i t p r o v ide s a lo w e r n o is e fac t o r t h an if e m itte r d e ge ne r a t i on re s i stor s we re u s e d . t h e i n put st age c a n f u n c t i on wi t h t h e b a s e v o l t a g es t a k e n al l t h e w a y t o t h e n e g a t i ve p o we r su p p ly , or u p to wi t h i n 1 v of t h e p o s i t i v e p o we r sup p ly . v cc v ee +in ?i n v out 00288-033 f i g u re 33. si mpl i f i e d s c h e m a t i c t w o c o m p l e me n t ar y t r ans i stors i n a c o m m on - e m i t t e r co nf igura t io n ar e us e d fo r t h e o u t p ut st a g e . t h is a l lo ws t h e o u t p u t o f the de vice t o swin g t o wi thin 50 mv of ei t h er s u p p l y ra il a t lo ad c u r r en ts les s tha n 1 ma. a s lo ad c u r r en t in cr e a s e s, t h e maxi m u m vol t a g e s w ing o f t h e ou t p u t de cre a s e s. thi s is d u e to t h e c o l l e c tor - to - e mitte r s a t u r a t i on volt age s of t h e out p ut tra n sis t o r s in cr e a sin g . th e ga in o f th e o u t p u t s t a g e , and co n s e - q u en t l y t h e op e n -lo o p ga i n o f t h e am plif ier , is dep e nden t o n t h e lo ad r e sis t a n ce co nn e c te d a t t h e o u t p u t . b e ca us e t h e do mina n t p o l e f r e q u e nc y i s i n v e r s e ly prop or t i on a l to t h e op e n - l o o p g a i n , t h e u n it y - g a i n b a nd w i d t h of t h e d e v i c e i s no t af fe c t e d b y t h e l o a d r e sist a n ce. this is ty p i c a l l y t h e c a s e i n ra i l -t o - ra i l o u t p ut de vices. offse t adjust ment b e ca us e t h e o p 162/o p 262/o p 462 ha v e an excep t io nal l y lo w t y pi c a l of f s e t vol t age, a d j u st me n t to c o r r e c t of fs e t vol t age m a y n o t be n e e d e d . h o w e v e r , t h e o p 162 has p i n o u t s t o a t tac h a n u l l in g r e sis t o r . f i gur e 34 sh o w s h o w t h e o p 162 o f fs et v o l t a g e ca n be ad j u s t e d b y co nn ec tin g a p o t e n t iom e t e r betw een p i n 1 a nd pin 8, and c o nn e c t i n g t h e w i p e r to v cc . i t is im p o r t a n t t o a v o i d accid e n t a l l y co nn e c t i n g t h e wi p e r to v ee , as t h is ca n da ma ge t h e de vi ce . the r e co mm e nde d val u e fo r t h e p o t e n t iomet e r is 20 k?. ?5 v 20k ? op162 +5v v os 3 2 4 7 8 1 6 00288-034 f i gure 34. o ffset adjustment s c h e m a tic ra i l - t o- ra i l o u t p u t the o p 162/o p 262/o p 462 ha ve a wide o u t p u t v o l t a g e ra n g e t h a t e x te nd s to w i t h i n 6 0 m v of e a ch su p p ly r a i l w i t h a l o ad c u rr en t o f 5 ma. d e cr ea sin g t h e l o ad c u rr en t e x t e n d s t h e o u t p u t v o l t age ran g e e ven clo s er t o t h e s u p p l y ra i l s. the comm on- m o d e in p u t ran g e ex t e nd s f r om g r ou n d to w i t h i n 1 v of t h e p o s i t i ve su p p ly . i t is r e co mmende d t h a t t h er e b e s o me m i nim a l am o u n t of ga in w h en a ra il-t o-ra il o u t p u t swin g i s de sir e d . th e min i m u m ga i n re q u ir e d is b a s e d on t h e sup p ly v o lt a g e and can b e fo u n d a s 1 ? = s s v,min v v a w h er e v s i s t h e p o s i t i ve supply vol t age. w i t h a s i ng l e - s upply v o l t a g e o f 5 v , t h e minim u m gain t o achie v e ra i l -t o-ra il o u t p u t s h o u l d be 1.25. outpu t sh ort - circu i t pro t ec ti on t o achie v e a wi de b a n d w i d t h and hig h sl e w ra te, t h e o u t p u t o f th e o p 16 2/o p 2 62/o p 462 a r e n o t s h o r t- cir c ui t p r o t ec t e d . s h o r tin g t h e ou t p u t d i re c t ly to g r ou nd or to a su p p ly r a i l ma y de st ro y t h e de vic e . t h e ty p i ca l max i m u m s a fe o u t p u t c u r r e n t is 30 m a . s t eps sh o u ld b e t a k e n t o en s u r e t h e ou t p u t o f t h e de vic e wi l l n o t be f o r c ed t o s o u r ce o r sink m o r e tha n 30 ma. i n a p p l i c a t i o n s wh e r e so m e o u t p u t curr e n t p r o t ecti o n i s n eeded , b u t n o t a t t h e exp e n s e o f r e d u ce d o u t p u t v o l t a g e h e adr o o m , a lo w val u e r e sis t o r in s e r i es wi t h t h e o u t p u t can b e us e d . this is sh ow n i n fi g u re 3 5 . t h e re s i stor i s c o n n e c te d w i t h i n t h e f e e d - b a ck lo o p o f t h e a m plif ier s o t h a t if v ou t is sh o r te d to g r o u nd
op162/op262/op462 rev. f | pag e 13 of 2 0 a nd v in s w in gs u p t o 5 v , t h e ou t p u t c u r r en t wil l n o t excee d 3 0 ma . f o r s i ng l e 5 v su p p ly a ppl ic a t ions , re s i stors l e ss t h an 169 ? a r e n o t r e co mm ende d . opx62 v in v out 169 ? 5v 00288-035 f i gure 3 5 . o u tput shor t- ci r c ui t p r ot ect i o n input overv o l t a g e pro t ec tion th e i n put volt a g e shou l d b e l i mi te d to 6 v , or dam a ge to t h e d e v i ce ca n occur . electr os ta ti c p r o t ecti o n d i od es p l a c ed i n th e in p u t st a g e o f t h e de vic e h e l p p r o t e c t t h e am plif ier f r o m st a t ic dis c ha rge. dio d es a r e co nn e c te d b e t w e e n e a ch in p u t as wel l as f r o m eac h in p u t t o bo t h s u p p l y p i n s as sho w n in t h e sim p lif i e d eq ui v a l e n t ci r c ui t in f i gur e 33. i f a n i n p u t v o l t a g e e x ceed s e i t h er s u p p l y v o l t a g e b y m o r e t h a n 0.6 v , o r if t h e dif f er en t i al i n p u t v o l t a g e is g r e a te r t h a n 0.6 v , t h e s e di o d es e n erg i ze c a usin g o v er v o l t a g e dama g e . the in p u t c u r r en t sh o u l d b e limi t e d t o les s tha n 5 ma t o p r e v en t deg r a d a t io n o r dest r u c t io n o f t h e d e v i c e b y plac in g an ext e r n al r e s i s t o r in s e r i es wi t h t h e in p u t a t r i s k o f bein g o v e r dr i v en. the si ze o f t h e resis t o r ca n b e c a lc u l a t e d b y di v i di n g t h e maxi- m u m in p u t v o l t a g e b y 5 ma. f o r exa m ple , if t h e dif f er en t i al in p u t v o l t a g e cou l d r e ach 5 v , t h e ext e r n al r e sis t o r s h o u l d b e 5 v/5 ma = 1 k?. i n p r ac tic e , t h is r e sis t o r s h ou ld be place d in s e r i es w i t h b o t h in p u ts t o b a lance a n y o f fs et v o l t a g es cr e a t e d b y t h e in p u t b i a s c u r r en t. output phase reversal the o p 162/o p 262/o p 462 a r e imm u n e t o p h as e r e v e rs al as lo n g as the in p u t v o l t a g e is l i mi ted t o 6 v . f i gu r e 30 s h o w s t h e o u t p ut o f a de v i ce w i t h t h e i n p u t v o l t a g e dr i v en b e yon d t h e s u p p l y v o l t a g es. al t h o u g h t h e de v i ce s o u t p ut do es n o t cha n g e phas e , la rg e c u r r en ts d u e t o i n pu t o v er v o l t a g e c o u l d r e s u l t , da ma g i n g t h e de v i ce . i n a p plic a t io n s w h er e t h e p o s s i b i l i t y o f a n in p u t v o l t a g e exce e d i n g t h e su ppl y v o l t a g e exis t s , o v er v o l t a g e prote c t i on s h ou l d b e u s e d , a s d e s c r i b e d i n t h e pre v i o u s s e c t i o n . po wer diss ip a t ion the max i m u m p o w e r t h a t can b e s a fely di ssi p a t e d b y t h e o p 162/o p 262/o p 462 is limi t e d b y t h e as s o c i a t ed r i s e in j u n c t i o n t e mp e r at u r e . t h e m a x i mu m s a f e j u n c t i o n t e mp e r a t u r e is 150c; device p e r f o r ma n c e suf f ers wh en t h is limi t is e x ceed ed . i f th i s m a xi m u m i s o n l y m o m e n t a r il y e x ceed ed , p r o p er cir c ui t op era t ion wil l b e r e s t o r ed as s o on as t h e die t e m p era t ur e is r e d u ce d . l e a v i n g t h e de vic e i n an o v e rh e a t e d co ndi t i on fo r a n ex tende d p e r i o d can r e su l t i n p e r m a n e n t d a ma g e t o t h e devi ce . t o calc u l a t e t h e in t e r n al j u n c t i on t e m p era t ur e of t h e o p x62, us e th e f o rm ula t j = p di s s ja + t a w h er e: t j i s th e o p x62 j u n c ti o n t e m p e r a t ur e . p di s s is t h e opx 62 p o w e r diss i p a t io n. ja is t h e o p x62 p a cka g e t h er mal r e sis t a n c e , j u n c t i on- t o- amb i e n t te m p e r a t u r e. t a is t h e am b i e n t t e m p er a t ur e o f t h e cir c u i t. the p o wer dissi p a t e d b y t h e de vice can b e ca lc u l a t e d as p di s s = i loa d ( v s C v ou t ) w h er e: i loa d is t h e o p x62 o u t p u t lo ad c u r r en t. v s is t h e op x 6 2 su p p ly vol t age. v ou t i s t h e op x 6 2 out p ut vol t age. f i gur e 36 an d f i gur e 37 p r o v ide a con v enien t wa y t o det e r m in e if t h e d e v i ce is b e in g o v erhe a t e d . the maxi m u m s a fe p o w e r dissi p a t io n ca n b e fo u n d g r a p h i ca l l y , b a s e d o n t h e p a ck a g e ty p e a nd t h e am b i e n t t e m p era t ur e a r o u nd t h e p a ckag e . by usin g t h e p r e v io us e q ua t i o n , i t is a si m p le ma t t e r t o s e e if p dis s e x ceed s th e de vice s p o w e r dera t i n g c u r v e . t o en s u r e p r o p er o p era t io n, i t is im p o r t an t to ob s e r v e t h e r e co m m e nde d der a t i ng c u r v es sh o w n in f i gur e 36 and f i gur e 37. ambient temperature ( c) m a xim u m pow e r d i ssipa tion ( w at t s ) 0.9 0.7 0.8 0.5 0.6 0.1 0.2 0.3 0.4 0 20 40 60 100 80 120 00288-036 8-lead soic 8-lead msop 8-lead tssop f i g u re 36. m a x i mu m p o we r d i s s i pat i o n v s . t e mper at ure f o r 8-l e ad p a ckag e t y pes
op162/op262/op462 rev. f | pag e 14 of 2 0 ambient temperature ( c) m a xim u m pow e r d i ssipa tion ( w at t s ) 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 1.1 1.2 0.1 0.2 0 20 45 70 95 120 00288-037 14-lead soic 14-lead tssop f i g u re 37. m a x i mu m p o we r d i s s i pat i o n v s . t e mper at ure f o r 14-l e ad p a ck ag e t y pes unused am plifiers i t is r e co mm e nde d t h a t an y un us e d a m pl if iers in a d u a l o r a q u a d p a ck a g e b e co nf igur e d as a uni t y - ga i n fol l o w er w i t h a 1 k ? f eed ba ck r e s i s t o r co n n ec t e d f r o m th e in v e r t i n g i n p u t t o th e o u t p u t , a n d th e n o nin v e r ti n g i n p u t ti e d t o t h e gr o u n d pla n e . po wer- on set t ling time t h e t i me it t a ke s f o r t h e output of an op am p to s e tt l e af te r a su p p ly vol t a g e i s del i ver e d can b e an i m p o r t a n t co n s ider a t ion i n s o me p o w e r - u p -s en s i t i v e a p pli c a t io n s . a n exa m ple o f t h is w o u l d b e i n a n a/d con v er t e r w h er e t h e t i me un t i l v a lid da t a ca n b e p r o d uce d a f t e r p o w e r - up is im p o r t a n t. t h e o p x62 fa mil y has a ra p i d s e t t lin g t i m e a f t e r p o w e r - u p . f i gur e 38 sh o w s th e o p 462 o u t p u t s e t t l i n g t i mes f o r a sin g le- su p p ly vol t age of v s = +5 v . th e t e s t cir c u i t in f i gur e 39 was used t o f i n d t h e po w e r - o n set t lin g tim e s f o r th e d e v i ce . 10 0% 100 90 500n s 2v 50 m v v s = 5v a v = 1 r l = 10k ? 00288-038 f i g u re 38. o s ci ll os cope p h ot o of v s an d v ou t op462 v out 0 to +5v square 10k ? 00288-039 1 f i gure 39. t e s t c i rc uit for p o w e r - o n s e ttling tim e ca p a c i t i v e l o a d d r i v e the o p 162/o p 262/o p 462 a r e hig h s p ee d , extr eme l y acc u ra te de v i c e s t h a t tol e r a te s o me c a p a c i t i ve l o a d i n g a t t h e i r out p ut s . a s lo ad ca p a c i tan c e in cr eas e s, uni t y - ga in b a ndwi d t h o f a n opx 62 de vice decr eas e s. this als o c a us es a n in cr eas e in o v ersh o o t an d s e tt l i n g t i me f o r t h e output . fi g u re 4 1 show s a n e x am pl e of t h i s wi t h t h e d e v i ce co nf igur e d fo r uni t y ga in and dr i v in g a 10 k? r e sis t o r a n d 300 pf ca p a ci t o r p l aced in p a ral l e l . by co nn e c t i n g a s e r i es r - c ne t w o r k, co m m o n ly ca l l e d a s n u b b e r n e t w or k , f r om t h e output of t h e d e v i c e to g r ou n d , t h is r i n g i n g can b e eli m in a t e d and o v ersh o o t can b e sig n if ican tl y r e d u ced . f i gur e 40 s h o w s h o w t o s e t u p the sn ubb e r n e tw o r k, an d f i gur e 42 s h o w s t h e im p r o v emen t in output re sp ons e w i t h t h e n e t w o r k a d d e d. opx62 v in v out r x c x c l 5v 00288-040 f i gure 40. sn ubb e r net w ork com p ens a tio n fo r cap a c i tiv e l oads 00288-041 50 m v 1 s 100 90 10 0% v s = 5v a v = 1 c l = 300pf r l = 10k ? f i g u re 41. a phot o of a r i ng i n g s q ua re w a ve
op162/op262/op462 rev. f | pag e 15 of 2 0 00288-042 10 0% 100 90  50mv 1 s v s = 5v a v = 1 c l = 300pf r l = 10k ? with snubber: r x = 140 ? c x = 10nf f i gur e 45 sh o w s th e w o rs t cas e cr os s t al k betw e e n tw o a m p l if iers in t h e o p 462. a 1 v r m s sig n al is a p p l ie d t o o n e a m p l if ier w h il e m e a s ur in g t h e ou t p ut o f a n a d ja cen t am plif ier . b o t h am plif iers a r e co nf igur e d fo r uni t y ga i n and su p p lie d wi t h 2.5 v . frequency (hz) thd+n (%) 0.010 0.001 0.0001 20 100 1k 10k 20k 00288- 044 v s = 2.5v a v = 1 v in = 1.0v rms r l = 10k ? bandwidth: <10hz to 22khz f i g u re 42. a phot o of a nice s q uare w a ve at t h e o u t p ut the n e tw o r k op era t es i n p a ral l el wi t h t h e lo ad c a p a ci t o r , c l , a nd p r o v ides com p e n s a t i on fo r t h e add e d phas e lag. t h e ac t u a l v a lu e s of t h e ne t w or k re s i stor a n d c a p a c i tor are e m pi r i c a l l y deter m i n e d to mini mi ze o v ersh o o t and max i mi ze un i t y - ga in ba ndwid th. t a ble 6 s h o w s a fe w s a m p le sn u b be r n e tw o r ks fo r la rg e lo ad ca p a c i t o rs. f i gure 44. thd + n vs. f r equenc y frequency (hz) xtalk (dbv) ?40 ?90 ?80 ?70 ?60 ?50 ?140 ?130 ?120 ?110 ?100 20 100 1k 10k 20k 00288- 045  a v = 1 v in = 1.0v rms (0dbv) r l = 10k ? v s = 2.5v table 6. s n ubber networks fo r large capacitive loa d s c load r x c x < 300 pf 140 ? 10 nf 500 pf 100 ? 10 nf 1 nf 80 ? 10 nf 10 nf 10 ? 47 nf h i g h er lo ad ca p a ci tan c e wil l r e d u ce t h e u n i t y-ga in bandwid th of t h e d e v i c e . f i g u re 4 3 show s u n it y - g a i n b a nd w i d t h v s . ca p a ci t i v e lo ad . the sn ubb e r n e tw o r k do es n o t p r o v ide an y i n c r e a s e i n b a n d w i dt h , b u t i t s u b s t a n t i a l l y re du c e s r i ng i n g a n d ov e r s h o o t , a s s h ow n b e t w e e n fi g u r e 4 1 a n d fi g u r e 4 2 . c load bandwidth (mhz) 10 7 8 9 5 6 4 3 2 1 0 10pf 100pf 1nf 10nf 00288-043 fi g u r e 4 5 . c r o s s t a l k v s . fr e q u e n c y pcb l a y o u t c o nsider a t io ns b e ca us e t h e o p 162/o p 262/o p 462 ca n p r o v ide ga in s a t hig h f r e q uen c y , ca r e f u l a t ten t ion to b o a r d la yo u t an d co m p on e n t s e le c t ion is r e commende d . a s wi t h an y hi g h sp e e d a p plic a t ion, a g o o d g r o u n d pla n e is es s e n t ia l t o achie v e t h e o p t i m u m p e r f o r ma n c e . t h is ca n s i g n if ican t l y r e d u ce t h e un des i ra b l e e f f e c t s of g r ou nd l o op s an d i r l o ss e s b y prov i d i n g a l o w i m p e d a nc e re f e re nc e p o i n t . b e st re su lt s are o b t a i n e d w i t h a m u l t i l a y er b o a r d desig n wi t h on e la yer assig n e d to g r o u nd pl ane. u s e ch i p c a p a c i tors for su p p ly b y p a ss ing , wit h one e nd of t h e ca p a ci to r co nne c t e d to t h e g r o u nd plan e and t h e o t her end co nn e c te d w i t h i n 1/8 i n ch o f e a ch p o w e r pin. a n ad di t i o n a l la rg e ta n t al um elec tr ol ytic ca p a ci t o r (4.7 f t o 10 f) s h o u l d b e co n n ec t e d in p a ralle l . t h is ca p a ci t o r p r o v id es c u r r en t f o r fas t , la rg e-sig n al chan g e s a t t h e de vi ce s o u t p u t ; t h erefo r e , i t do es n o t n e ed t o b e p l a c ed a s c l o s e t o th e s u p p l y p i n s . f i g u re 43. u n it y- g a in b a ndw i dt h v s . c lo a d t o t a l har m onic dist ortio n a n d crosst alk t h e op x 6 2 d e v i c e f a m i ly of f e r s l o w tot a l h a r m o n i c d i s t or t i on making i t an exce l l en t ch oice fo r a u dio a p pl ica t io n s . f i gur e 44 s h o w s a g r a p h of thd p l us n o is e f i gur e s a t 0.00 1% f o r th e o p 462.
op162/op262/op462 rev. f | pag e 16 of 2 0 appli c a t ion circuits single-suppl y stereo headphone driver fi g u r e 4 6 s h ow s a s t e r e o h e a d p h o n e o u tpu t a m p l i f i e r t h at c a n o p era t e f r o m a s i n g le 5 v s u p p l y . the r e fer e n c e v o l t a g e is der i v e d b y di vidin g t h e su p p l y v o l t a g e do wn wi t h tw o 100 k? r e sis t o r s. a 10 f ca p a ci t o r p r even ts p o w e r s u p p l y n o is e f r o m co n t a m ina t in g t h e a u dio sig n a l a nd est a b l ish e s a n ac g r o u nd fo r t h e v o l u m e c o nt r o l p o t e nt i o m e t e r s . t h e a u d i o s i gn al i s a c - c o u p l ed t o ea c h n o n i n v e r ti n g in p u t t h r o ug h a 10 f ca p a ci t o r . t h e ga in o f t h e am plif ier is co n - tr o l led b y th e f eed ba ck r e si s t o r s a n d i s (r 2/ r 1 ) + 1. f o r th i s exa m p l e , t h e ga in is 6. b y r e m o vin g r1, t h e a m plif ier w o u l d h a ve u n it y g a i n . t o shor t - c i rc u i t prote c t t h e output of t h e de vic e , a 169 ? r e sis t o r is p l aced a t t h e o u t p u t in t h e f eedbac k n e tw o r k. this p r ev en ts an y dama g e t o t h e de vice if t h e h e ad - p h on e o u t p u t b e co m e s s h o r t e d . a 270 f ca p a c i t o r is us ed a t t h e ou t p u t t o cou p le t h e a m pl if ier t o t h e h e ad ph on e . this va l u e i s m u c h la r g e r th a n th a t used f o r th e in p u t beca use o f th e lo w im p e dan c e o f head ph on es, w h ic h can ra n g e f r o m 32 ? t o 600 ? or more. op262-a 5v 169 ? 270 f 47k ? l volume control r1 = 10k ? 10 f 10 f 10k ? 5v 100k ? 10 f 100k ? r2 = 50k ? left in op262-b 5v 169 ? 270 f 47k ? headphone right headphone left 10k ? r volume control 10 f right in r2 = 50k ? 10 f r1 = 10k ? 00288-046 f i gure 46. headph one o u tput a m plifier instrument a t io n am plifier b e ca us e o f t h e i r hig h s p e e d , lo w o f fs et v o l t a g es, a nd lo w n o is e c h a r ac t e r i s t ics, th e o p 162 /o p2 62/o p 462 ca n b e us ed in a wide va r i ety o f hi g h sp e e d a p plic a t ion s , i n cl u d in g p r e c isio n inst r u - m e n t a t io n am pl if iers. f i gur e 47 sho w s an exa m ple o f such a n ap p l i c at i o n . op462-a op462-b op462-c op462-d ? v in + v in 1k ? 10k ? 2k ? 1.9k ? 200 ? 10 turn (optional) output r g 1k ? 10k ? 2k ? 2k ? 00288-047 f i gure 47. h i gh spe e d ins t ru ment atio n a m pl ifi e r the dif f er en t i al ga in o f t h e cir c u i t is de t e r m i n e d b y r g , w h er e g diff r a 2 1 + = wi t h t h e r g r e sis t o r val u e i n k?. re m o vi n g r g s e ts t h e cir c u i t ga in t o uni t y . the f o ur th o p a m p , o p 462-d , is o p tio n al and is us ed t o im p r o v e cmrr b y r e d u ci n g an y in p u t ca p a c i t a n c e t o t h e a m plif ier . by shi e ld in g t h e in p u t sig n a l le ads and dr ivi n g t h e shi e l d w i t h t h e c o mmon- m o d e vol t age, i n put c a p a c i t a nc e i s e l im in a t e d a t comm on- m o d e vol t a g es. this v o lt a g e is d e r i v e d f r o m th e mid p o i n t o f t h e o u t p u t s o f o p 462-a and o p 462-b b y usin g tw o 10 k? r e sis t o r s f o l l o w ed b y o p 462-d as a uni t y - ga in bu f f e r . i t is im p o r t a n t to us e 1% o r b e t t er t o lera n c e com p on e n ts fo r t h e 2 k? r e sisto r s, as t h e co m m o n - m o d e r e j e c t io n is dep e nden t o n th eir ra ti os bein g exa c t. a po t e n t i o m e t e r s h o u ld al so be c o n n ect e d i n se ri e s w i th th e o p 4 62- c n o n i n v e r ti n g i n p u t r e s i s t o r t o gr o u n d to opt i m i z e c o mmon- m o d e re j e c t i o n. th e c i rc u i t i n f i g u re 4 7 w a s i m pl e m e n te d to te st i t s s e t t l i ng t i me. t h e i n st r u me n t a t i o n a m p w a s p o we re d w i t h ? 5 v , s o t h e in p u t s t ep v o l t ag e w e n t f r o m ? 5 v t o +4 v t o k e ep the o p 462 wi t h i n i t s i n p u t ra n g e . th er efo r e , t h e 0.05 % s e t t lin g ra n g e is when t h e o u t p u t is wi thin 4.5 mv . f i gur e 48 sho w s the p o si ti ve s l o p e s e t t lin g tim e t o be 1.8 s , a nd f i gur e 49 sh o w s a s e t t lin g tim e o f 3. 9 s f o r th e n e ga ti v e s l o p e .
op162/op262/op462 rev. f | pag e 17 of 2 0 00288-048 10 0% 100 90 1 s 5mv 2v f i gure 4 8 . p o si t i v e sl o p e s e ttl ing ti me 00288-049 10 0% 10 0 90 5m v 1 s 10 0% 100 90 1 s 5mv 2v f i gure 4 9 . neg a ti v e slo p e settli n g time direc t a c c e ss arr a ngement f i gur e 50 sh o w s a s c h e ma tic f o r a 5 v sin g le-s u p p l y tra n smi t / r e cei v e te leph o n e l i n e in t e r f ace f o r 600 ? tra n smis sio n sys t em s . i t al lo ws f u l l -d u p lex tra n smis sio n o f sig n als o n a tra n sfo r m e r - co u p led 600 ? line . am p l if ier a1 p r o v ides ga in tha t can b e ad j u s t e d t o m e e t t h e m o de m o u t p u t dr i v e r e q u i r em e n ts. b o t h a1 and a2 a r e c o nf i g ur e d t o a ppl y t h e l a rge s t p o s s i b le dif f ere n t i al sig n al t o t h e t r an sfo r m e r . the l a rg es t sig n al a v aila b l e on a si n g l e 5 v s u p p l y is a p p r o x ima t e l y 4.0 v p-p in t o a 60 0 ? tra n smis sio n sy st em. a m plif i e r a3 is co nf igur e d as a d i f f er ence a m plif ier t o ext r ac t t h e r e ceiv e info r m a t io n f r o m t h e t r a n s m is sio n l i n e fo r a m plif ic a t ion b y a4. a3 a l s o p r e v en ts t h e t r a n s m i t sig n a l f r o m in t e r f er in g w i t h t h e r e ce i v e sig n al . t h e g a i n o f a 4 c a n b e a d j u s t e d in t h e s a me mann er as a1 t o me et t h e m o dem s in p u t sig n al r e q u ir emen t s . s t a nda rd r e sis t o r val u es p e r m i t t h e us e o f s i p (sin g l e in-l ine p a cka g e) fo r m a t r e sis t o r a r ra ys. c o u p le t h is w i t h th e o p 462 14 -le a d so i c o r tss o p p a c k a g e and this cir c ui t o f fers a co m p ac t s o l u t i o n . 6.2v 6.2v transmit txa receive rxa 2k  p1 tx gain adjust a1 a2 a3 a4 a1, a2 = 1/2 ad8532 a3, a4 = 1/2 ad8532 r3 360 ? z o 600 ? r1 10k ? r13 10k ? r10 10k ? r9 10k ? r11 10k ? c2 0.1 f c1 0.1 f 10 f r12 10k ? r7 10k ? r8 10k ? r5 10k ? r6 10k ? r14 14.3k ? r2 9.09k ? 1:1 t1 to telephone line 1 2 3 7 6 5 2 3 1 6 5 7 p2 rx gain adjust 2k ? 5v dc midcom 671-8005 00288-050 f i gure 50. sing l e -s up ply d i rec t ac c e s s a r r a ngem ent fo r mode ms
op162/op262/op462 rev. f | page 18 of 20 spice macro-model * op162/op262/op462 spice macro-model * 7/96, ver. 1 * troy murphy / adsc * * copyright 1996 by analog devices * * refer to ?readme.doc? file for license statement. use of this model * indicates your acceptance of the terms and provisions in the license * statement * * node assignments * noninverting input * | inverting input * | | positive supply * | | | negative supply * | | | | output * | | | | | * | | | | | . subckt op162 1 2 99 50 45 * *input stage * q1 5 7 3 pix 5 q2 6 2 4 pix 5 ios 1 2 1.25e-9 i1 99 15 85e-6 eos 7 1 poly(1) (14, 20) 45e-6 1 rc1 5 50 3.035e+3 rc2 6 50 3.035e+3 re1 3 15 607 re2 4 15 607 c1 5 6 600e-15 d1 3 8 dx d2 4 9 dx v1 99 8 dc 1 v2 99 9 dc 1 * * 1st gain stage * eref 98 0 (20, 0) 1 g1 98 10 (5, 6) 10.5 r1 10 98 1 c2 10 98 3.3e-9 * * common-mode stage with zero at 4khz * ecm 13 98 poly (2) (1, 98) (2, 98) 0 0.5 0.5 r2 13 14 1e+6 r3 14 98 70 c3 13 14 80e-12 * * pole at 1.5mhz, zero at 3mhz * g2 21 98 (10, 98) .588e-6 r4 21 98 1.7e6 r5 21 22 1.7e6 c4 22 98 31.21e-15 * * pole at 6mhz, zero at 3mhz * e1 23 98 (21, 98) 2 r6 23 24 53e+3 r7 24 98 53e+3 c5 23 24 1e-12 * * second gain stage * g3 25 98 (24, 98) 40e-6 r8 25 98 1.65e+6 d3 25 99 dx d4 50 25 dx * * output stage * gsy 99 50 poly (1) (99, 50) 277.5e-6 7.5e-6 r9 99 20 100e3 r10 20 50 100e3 q3 45 41 99 pout 4 q4 45 43 50 nout 2 eb1 99 40 poly (1) (98, 25) 0.70366 1 eb2 42 50 poly (1) (25, 98) 0.73419 1 rb1 40 41 500 rb2 42 43 500 cf 45 25 11e-12 d5 46 99 dx d6 47 43 dx v3 46 41 0.7 v4 47 50 0.7 . model pix pnp (bf=117.7) .model pout pnp (bf=119, is=2.782e-17, vaf=28, kf=3e-7) .model nout npn (bf=110, is=1.786e-17, vaf=90, kf=3e-7) .model dx d () .ends
op162/op262/op462 rev. f | pag e 19 of 2 0 outline dimensions 0.25 (0.0098) 0.17 (0.0067) 1.27 (0.0500) 0.40 (0.0157) 0.50 (0.0196) 0.25 (0.0099) 45 8 0 1.75 (0.0688) 1.35 (0.0532) seating plane 0.25 (0.0098) 0.10 (0.0040) 4 1 85 5. 00 ( 0 . 1 9 68) 4. 80 ( 0 . 1 8 90) 4.00 (0.1574) 3.80 (0.1497) 1.27 (0.0500) bsc 6.20 (0.2440) 5.80 (0.2284) 0.51 (0.0201) 0.31 (0.0122) coplanarity 0.10 controlling dimensions are in millimeters; inch dimensions (in parentheses) are rounded-off millimeter equivalents for reference only and are not appropriate for use in design compliant to jedec standards ms-012aa f i g u re 51. 8-l e ad s t anda r d s m a l l o u t l ine p a ckag e [soic ] nar r o w b o dy s-suffix (r-8) di me nsio ns sho w n i n mi ll im e t e r s a n d (i nc he s) 0.80 0.60 0.40 8 0 4 8 1 5 4.90 bsc pin 1 0.65 bsc 3.00 bsc seating plane 0.15 0.00 0.38 0.22 1.10 max 3.00 bsc coplanarity 0.10 0.23 0.08 compliant to jedec standards mo-187aa f i g u re 52. 8-l e ad m i ni s m al l o u t l ine p a ck ag e [m sop ] (rm-8) di me nsio ns sho w n i n mi ll im e t e r s 8 5 4 1 pin 1 0.65 bsc seating plane 0.15 0.05 0.30 0.19 1.20 max 0.20 0.09 8 0 6.40 bsc 4.50 4.40 4.30 3.10 3.00 2.90 coplanarit y 0.10 0.75 0.60 0.45 compliant to jedec standards mo-153aa f i g u re 53. 8-l e ad t h in s h ri nk s m a ll o u t lin e p a ck ag e [ t s s op ) (r u-8) di me nsio ns sho w n i n mi ll im e t e r s 4.50 4.40 4.30 14 8 7 1 6.40 bsc pin 1 5.10 5.00 4.90 0.65 bsc seating plane 0.15 0.05 0.30 0.19 1.20 max 1.05 1.00 0.80 0.20 0.09 8 0 0.75 0.60 0.45 coplanarity 0.10 compliant to jedec standards mo-153ab-1 f i gure 54. 1 4 -l ead thin shr i nk s m a l l o u tline p a ckage [ t ssop ] (ru - 14) di me nsio ns sho w n i n mi ll im e t e r s controlling dimensions are in millimeters; inch dimensions (in parentheses) are rounded-off millimeter equivalents for reference only and are not appropriate for use in design coplanarit y 0.10 14 8 7 1 6.20 (0.2441) 5.80 (0.2283) 4.00 (0.1575) 3.80 (0.1496) 8.75 (0.3445) 8.55 (0.3366) 1.27 (0.0500) bsc seating plane 0.25 (0.0098) 0.10 (0.0039) 0.51 (0.0201) 0.31 (0.0122) 1.75 (0.0689) 1.35 (0.0531) 8 0 0.50 (0.0197) 0.25 (0.0098) 1.27 (0.0500) 0.40 (0.0157) 0.25 (0.0098) 0.17 (0.0067) compliant to jedec standards ms-012ab 45 f i g u re 55. 1 4 -l ead st anda r d s m al l o u t line p a ckag e [s oi c ] nar r ow b o dy s-suffix (r-14) di me nsio ns sho w n i n mi ll im e t e r s a n d (i nc he s)
op162/op262/op462 rev. f | pag e 20 of 2 0 ordering guide model temperature r a nge package desc ri ption package option branding op162gs ?40c to +125c 8-lead soic s-suffix (r-8 ) op162gs-reel ?40c to +125 c 8-lead soic s-suffix (r-8 ) op162gs-reel7 ?40c to +125 c 8-lead soic s-suffix (r-8 ) op162gsz 1 ?40c to +125c 8-lead soic s-suffix (r-8 ) op162gsz-reel 1 ?40c to +125c 8-lead soic s-suffix (r-8 ) op162gsz-reel 7 1 ?40c to +125c 8-lead soic s-suffix (r-8 ) op162dru-ree l ?40c to +125c 8-lead tssop ru-8 op162druz-re el 1 ?40c to +125c 8-lead tssop ru-8 op162hru-ree l ?40c to +125c 8-lead tssop ru-8 op162hruz-re el 1 ?40c to +125c 8-lead tssop ru-8 op162drm-re e l ?40c to +125c 8-lead msop rm-8 and op162drmz-re el 1 ?40c to +125c 8-lead msop rm-8 aoj op262dru-ree l ?40c to +125c 8-lead tssop ru-8 op262druz-re el 1 ?40c to +125c 8-lead tssop ru-8 op262gs ?40c to +125c 8-lead soic s-suffix (r-8 ) op262gs-reel ?40c to +125 c 8-lead soic s-suffix (r-8 ) op262gs-reel7 ?40c to +125 c 8-lead soic s-suffix (r-8 ) op262gsz 1 ?40c to +125c 8-lead soic s-suffix (r-8 ) op262gsz-reel 1 ?40c to +125c 8-lead soic s-suffix (r-8 ) op262gsz-reel 7 1 ?40c to +125c 8-lead soic s-suffix (r-8 ) op262hru-ree l ?40c to +125c 8-lead tssop ru-8 op262hruz-re el 1 ?40c to +125c 8-lead tssop ru-8 op462dru-ree l ?40c to +125c 14-lead tssop ru-14 op462druz-re el 1 ?40c to +125c 14-lead tssop ru-14 op462ds ?40c to +125c 14-lead soic s-suffix (r-14 ) op462ds-reel ?40c to +125 c 14-lead soic s-suffix (r-14 ) op462ds-reel7 ?40c to +125 c 14-lead soic s-suffix (r-14 ) op462dsz 1 ?40c to +125c 14-lead soic s-suffix (r-14 ) op462dsz-reel 1 ?40c to +125c 14-lead soic s-suffix (r-14 ) op462dsz-reel 7 1 ?40c to +125c 14-lead soic s-suffix (r-14 ) op462gs ?40c to +125c 14-lead soic s-suffix (r-14 ) op462gs-reel ?40c to +125 c 14-lead soic s-suffix (r-14 ) op462gs-reel7 ?40c to +125 c 14-lead soic s-suffix (r-14 ) op462gsz 1 ?40c to +125c 14-lead soic s-suffix (r-14 ) op462gsz-reel 1 ?40c to +125c 14-lead soic s-suffix (r-14 ) op462gsz-reel 7 1 ?40c to +125c 14-lead soic s-suffix (r-14 ) op462hru-ree l ?40c to +125c 14-lead tssop ru-14 op462hruz-re el 1 ?40c to +125c 14-lead tssop ru-14 1 z = pb- f re e pa rt . ? 2005 analo g de vices, inc. all rights reserve d . tra d em arks and registered tra d ema r ks are the prop erty of their respective owners . c00288C0 C 1/05(f)


▲Up To Search▲   

 
Price & Availability of OP162DRMZ-REEL

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X